CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - sine verilog

搜索资源列表

  1. sine_wave_2011_0329

    0下载:
  2. 正弦波波形发生器,verilog编写,Modsim仿真。-sine wave genonter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2098062
    • 提供者:邓楠
  1. xinhao

    1下载:
  2. 基于verilog的数字信号产生器,包括三角波、方波、正弦波,频率可调。-Verilog-based digital signal generator, including a triangle wave, square wave, sine wave, frequency adjustable.
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:1273
    • 提供者:任晓波
  1. DDS-frequency-synthesizer

    0下载:
  2. 本文主要讨论了Verilog语言的基于DDS的波形发生器的设计。从设计要求入手,本文给出了DDS的详细设计过程,包括各个模块的设计思想,电路图,Verilog语言程序代码。其大致思想为通过频率控制字和相位控制字去控制正弦函数的ROM存储表的地址并对应着得到其幅度值,最终达到输出需要波形的目的。-This paper mainly discusses the design of the Verilog language, the DDS-based waveform generator. Star
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:813898
    • 提供者:任健铭
  1. DDS-SIN

    0下载:
  2. 用verilog语言实现DDS的正弦波发送-DDS sine wave sent verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2629856
    • 提供者:牛倩
  1. sinw

    0下载:
  2. 用verilog写的正弦波发生器,QuartusⅡ环境-Sine wave generator written in Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:521443
    • 提供者:杀虫剂
  1. sin_generate

    0下载:
  2. verilog 实现 dds正弦 函数信号发生器 verilog 实现 dds正弦 函数信号发生器-verilog achieve dds sine function signal generator verilog verilog dds sine function signal generator the dds sine function signal generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-07
    • 文件大小:425984
    • 提供者:陈占田
  1. DDS

    0下载:
  2. DDS正弦波发生模块 基于verilog语言实现 在cycloneii系列FPGA上经过验证 频率步进1khz 共有256个点-The DDS sine wave module based on verilog language achieve in cycloneii series FPGA proven frequency stepping 1khz 256 points
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:3008157
    • 提供者:
  1. verilog_dds

    0下载:
  2. verilog实现dds,用于FPGA产生正弦波,适用于Cyclone 2系列-verilog achieve dds, FPGA is used to generate the sine wave, in the Cyclone Series
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16790004
    • 提供者:sunlin
  1. dds_project

    0下载:
  2. DDS直接数字频率合成器,能产生正弦波,方波,锯齿波,三角波四种波形,同时能在12864上显示波形类型和频率,用FPGA verilog实现的-DDS direct digital frequency synthesizer can produce sine, square wave, sawtooth wave, triangle wave four waveform, while in the 12864 on display the waveform type and frequency
  3. 所属分类:software engineering

    • 发布日期:2017-06-20
    • 文件大小:31589376
    • 提供者:邹雪峰
  1. frequency

    0下载:
  2. 能够检测方波正弦波以及锯齿波的频率,并且以及试过可以运行,采用的开发环境是ISE,编程语言是Verilog-Able to detect a square wave frequency of the sine wave and sawtooth wave, and as well tried can run the development environment is the ISE, the programming language is Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:681575
    • 提供者:Owen
  1. dds_mul

    0下载:
  2. 简单的多周期dds的verilog编程,出来一个正弦波,可任意改变频率字-Simple multi-cycle dds verilog programming, out of a sine wave, the frequency can be arbitrarily changed words
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:4068949
    • 提供者:shanshan
  1. zhengxiansanjiao

    0下载:
  2. 用Verilog实现正弦波和三角波,验证过的,功能正确-Sine wave and triangular wave with Verilog and verified correct function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-10
    • 文件大小:157639
    • 提供者:高红佳
  1. zhengxian

    0下载:
  2. verilog的正弦函数信号发生器的设计。可生成不同的正弦函数信号波形。-verilog sine function signal generator design. Can generate a different signal waveform of the sine function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-07
    • 文件大小:919552
    • 提供者:lou
  1. cordic_iterate

    0下载:
  2. it is a code for cordic algorithm in verilog. it computes sine and cosine of an angle which is the input. it is iterative structure of cordic.
  3. 所属分类:Other systems

    • 发布日期:2017-11-13
    • 文件大小:1731
    • 提供者:reena
  1. 5-17

    0下载:
  2. 用verilog实现一个基于流水线结构的正、余弦信号发生器-Based on Pipeline Structure verilog to achieve a sine and cosine signal generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:1432
    • 提供者:张山
  1. e

    0下载:
  2. 基于verilog语言编写的代码。功能:可实现三角波,正弦波,方波的测量。-Based on Verilog language code. : Triangle wave, sine wave, square wave measurement.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:273313
    • 提供者:王杉
  1. zhengxianbo

    0下载:
  2. 正弦波发生器,基于verilog语言编写的,不用用DAC模块,直接输出0和1电频,经过RC滤波后就可得到波形-Sine wave generator, based on verilog language, do not use the DAC module, direct output power frequency 0 and 1, RC-filtered waveform obtained after
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:2087509
    • 提供者:王凌
  1. FIFO

    0下载:
  2. 将ROM的正弦波数据输入FIFO存储器,然后输出,有modelsim仿真波形-Verilog FIFO ROM mif sine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6605527
    • 提供者:xiadafang
  1. sinwave

    0下载:
  2. 使用verilog hdl语言编程正弦波信号,能仿真出结果-Can use verilog HDL language programming sine wave signal, the simulation results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4292015
    • 提供者:hxj
  1. dds

    0下载:
  2. 在altera的FPGA上实现直接数字频率合成,即用verilog实现DDS,输出正弦波形,在modelsim软件中仿真通过,已包含所有代码和工程以及二进制流文件。-The realization of direct digital frequency synthesis in the Altera FPGA, which is implemented by Verilog DDS, the output sine wave, through the simulation in Modelsim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:694210
    • 提供者:汪少锋
« 1 2 3 45 »
搜珍网 www.dssz.com